Difference between revisions of "Flameman/hp712"

From eLinux.org
Jump to: navigation, search
(Overview)
(16 intermediate revisions by 3 users not shown)
Line 1: Line 1:
 +
For more interesting projects done by Flameman & Legacy, be sure to check out their [[Flameman#master_index|project index]]
 +
 
= hp712, HP 9000/712 model =
 
= hp712, HP 9000/712 model =
  
 
== Overview ==
 
== Overview ==
 +
 +
<pre>
 +
_________      ______
 +
|PA-7100LC|_..__|Artist|______________[VGA]
 +
|_________| ||  |______|
 +
  __|__  |  ||
 +
|Cache| |  ||    _ _ LASI ASIC _ _
 +
|_____| |  ||            ______
 +
______  |  ||    |  ..__|i82596|___|_[10Mb Ethernet]
 +
|Memory|_|  ||      ||  |______|
 +
|______|    ||    |  ||  ______    |
 +
___        ||      ||__|53C710|_____[8-bit SCSI-2]
 +
|ROM|_______||    |  ||  |______|  |
 +
|___|      ||_______||  ____
 +
________  ||    |  ||__|PS/2|_____|_[Keyboard/Mouse]
 +
|GIO-slot|__||      ||  |____|
 +
|________| GSC    |  ||  ______    |
 +
_________          ||__|16550A|_____[Serial]
 +
|TSIO-slot|_.    |  ||  |______|  |
 +
|_________| |        ||  ______
 +
            |    |  ||__|37C65C|___|_[Floppy]
 +
            |        ||  |______|
 +
            |    |  ||  ______    |
 +
            |        ||__|16C522|_____[Parallel]
 +
            |    |  ||  |______|  |
 +
            |        ||  _______
 +
            |_____|__||__|Harmony|__|_[Audio]
 +
                    ||  |_______|
 +
                  |  ||  ___      |
 +
                    ||__|RTC|
 +
                  | GSC  |___|      |
 +
                  _ _ _ _ _ _ _ _ _
 +
 +
</pre>
 +
 +
The design goal of the 712 workstation was to reach performance levels of 1992-era workstations and servers (for instance HP 9000 735 workstation) at a fraction of their fabrication costs. Everything was kept simple, the case is one of the smallest Unix workstation cases, similar to the Sun SPARCstation 10 and 20 cases. 712s are very quiet, the fan of the power supply being almost not audible — the produced noise depends on the installed SCSI drive.
 +
 +
Introduced: early-1994 to mid-1995 (712/100)
 +
prices from $4,000 (712/60) and $8,820 (712/80) to $15,100 (712/100).
 +
 +
=== CPU ===
 +
 +
* 712/60: PA-7100LC 60MHz with 1KB on-chip L11 and 64KB off-chip L1 cache
 +
* 712/80: PA-7100LC 80MHz with 1KB on-chip L11 and 256KB off-chip L1 cache
 +
* 712/100: PA-7100LC 100MHz with 1KB on-chip L11 and 256KB off-chip L1 cache
 +
 +
1. The 1KB on-chip L1 cache is not really a true cache.
  
 
Time of introduction: 1994
 
Time of introduction: 1994
Line 37: Line 86:
 
Only one of the two integer ALUs is able to handle loads, stores and shifts, these operations can only be paired with simple math operations, like integer addition or multiplication. Both units can handle branch operations.  
 
Only one of the two integer ALUs is able to handle loads, stores and shifts, these operations can only be paired with simple math operations, like integer addition or multiplication. Both units can handle branch operations.  
  
=== References ===
+
=== Chipset ===
 +
 
 +
    * LASI ASIC, which features:
 +
          o NCR 53C710 8-bit single-ended SCSI-2
 +
          o Intel 82596CA 10Mb Ethernet controller
 +
          o WD 16C522 compatible parallel
 +
          o Harmony CD/DAT quality 16-bit stereo audio
 +
          o NS 16550A compatible serial
 +
    * Artist graphics, 8-bit
 +
    * Intel 82503 Ethernet transceiver, media auto-selection
 +
    * CS4215 or AD1849 programmable CODECs
 +
    * WD37C65C Floppy controller
 +
    * Two AM29F010 Flash EPROMs
 +
    * GSC system level I/O bus (128MB/s)
 +
    * SCSI-2 single-ended bus
 +
 
 +
=== Memory ===
 +
 
 +
    * 72-pin ECC SIMMs
 +
    * Takes 8-32MB modules
 +
    * Either 4 (on /60 and /80 models) or 6 (on /100) sockets
 +
    * 16MB (2×8) minimum, 128MB (4×32)/ 192MB (6×32) maximum
 +
    * Memory has to be installed in pairs, starting from slot 0, which is the closest slot to the drives.
 +
 
 +
=== Expansion ===
 +
 
 +
    * VRAM expansion slot for:
 +
          o A2263-66520M - Video RAM expansion for higher resolutions/more colors
 +
    * One slot for a GIO card (special formfactor GSC bus cards, only used in the 712), with the following cards available:
 +
          o A2878A - second video
 +
          o A4011A - 8025 Token Ring interface
 +
          o A4011B - 8025 Token Ring interface
 +
          o A4013A - second serial port
 +
          o A4014A - second Ethernet LAN (AUI+TP) and serial port. Pinout for the AUI/RS232 Y-cable
 +
          o A4015A - second serial & X25 link (DB9M RS232C connectors)
 +
          o A4217A - second Ethernet LAN (AUI+TP) & second VGA
 +
          o TAMS 50488 - HP-IB interface
 +
    * One slot for a TSIO card (another special formfactor GSC card for the Teleshare expansion slot), with only one card offered:
 +
          o A4012A - Teleshare POTS interface with two RJ11C jacks
  
1. PA7100LC ERS (External Reference Specification)Link 11 (.pdf) Hewlett-Packard Company (1999)
+
=== Drives ===
2. The PA 7100LC Microprocessor: A Case Study of IC Design Decisions in a Competitive EnvironmentLink 12 Mick Bass et al (April 1995: Hewlett-Packard Journal. Accessed May 2009)
+
 
3. Design methodologies for the PA 7100LC microprocessorLink 13 (.pdf) Mick Bass et al (April 1995: Hewlett-Packard Journal. Accessed May 2009)
+
* One tray for a 3.5″ Fast-Narrow SE 50-pin SCSI hard drive
 +
* One tray for a 3.5″ Floppy drive with special connector
 +
 
 +
=== External connectors ===
 +
 
 +
* 50-pin HD SCSI-2 Fast-Narrow single-ended
 +
* DB9 male RS232C serial (up to 115200 baud)
 +
* DB25 female parallel
 +
* TP/RJ45 10Mbit Ethernet1
 +
* 15-pin AUI 10Mbit Ethernet1
 +
* HD15 VGA2
 +
* Two PS/2 connectors for keyboard & mouse
 +
* Three phone jacks (microphone, headphones and line-in)  
 +
 
 +
1. The system automatically detects the used port.
 +
2. You can connect almost any monitor to the VGA jack. The 712 can drive VESA compatible multisync, HP fixed-frequency and many other popular FF monitors. It also is able to produce sync on green signals.
 +
 
 +
 
 +
There is an firmware update available for the 712, which contains the latest version (2.3).
 +
=== Operating systems ===
 +
 
 +
* HP-UX: every 32-bit release from 10.01-11.11 works.
 +
** 10.20: runs very nice on all 712s.
 +
** 11.00 and 11i: 712s are not the best 11.x performers. Could be sluggish. * NeXTSTEP: version 3.3 works fine.
 +
* gentoo: works fine (text console is pretty, the boot up takes 2 minutes, the X11 support is ... slow)
 +
* OpenBSD: works fine.
 +
* NetBSD: experimental support as of 5/2005.
 +
 
 +
 
 +
 
 +
2011-03: about gentoo
 +
i am working around "tiny rootfs" which could be newtwork booted from a tftp server. This bootup process takes just few seconds. This idea is from dht-walnut NAS project.
 +
 
 +
=== Benchmarks ===
 +
 
 +
Model SPEC92, int SPEC92, fp SPEC95, int SPEC95, fp SPEC95
 +
rate, int SPEC95
 +
rate, fp
 +
712/60 67.0 85.3 2.08 2.66 18.7 23.9
 +
712/80 97.1 123.3 3.12 3.55 28.1 32.0
 +
712/100 117.2 144.2 3.76 4.06 33.8 36.3
 +
 
 +
 
 +
 
 +
=== Physical dimensions/Power ===
 +
 
 +
    * 70×423×400 mm height/width/depth
 +
    * 8.36kg net weight
 +
    * 110W max. power input
 +
    * 1.2A max. RMS at 240V
 +
    * 2.7A max. RMS at 120V
  
 
== Changing to Serial Console ==
 
== Changing to Serial Console ==
Line 223: Line 360:
  
  
=== gram form the original message ===
+
== Y cable pinout ==
  
Matthew Wilcox writes...
+
Pinout for RS232/AUI cable(A2263-62045) for 712 card (A2263-66536)
 +
------------------------------------------------------------------
 +
There is a card for the 712(HP part #A2263-66536) that provides 2nd AUI lan
 +
and 2nd DB9 RS232 port. The back of the card has a 26 pin connector and the
 +
15pin AUI and 9pin RS232 connectors are provided by a special Y cable
 +
(A2263-62045) which are pretty hard to find. Here is the pinout of this cable
 +
in case you need to make one.
  
>On Thu, Dec 23, 1999 at 07:45:00AM -0800, Bill Katz William" wrote:
+
These diagrams are looking at the end of the connector not the back. Make
>> |How do I set the console on my 712 to be the serial port, not the  
+
sure to take that into account if building a cable. Pin numbering is taken
graphics
+
from the numbers on the plastic in the cable. If a pin is not connected it
>> |display?
+
is represented as an "o". The numbers outside the bottom connectors are the
>> |
+
pin numbers that that pin is connected to on the top connector.
>> |Thanks
 
>> |
 
>>
 
>> Boot to ISL and use the conspath
 
>> command to change the console back to rs232. The command to use is:
 
>>
 
>> serial
 
>>        conspath 2/0/4.643
 
>> graphics
 
>>        conspath 1/0/0.0
 
>
 
>This contradicts the PA-RISC Booting HOWTO:
 
>
 
>-----
 
>
 
>3.1.2.3.3. How can I change the boot console to serial on a 712?
 
>
 
>Unfortunately, you can't. Although 712s are configured for in-house HP
 
>development to use serial console, this configuration isn't supported
 
>in the field. You have to use a graphics console for 712s.
 
>
 
>-----
 
>
 
>I'd like to know if this needs to be corrected.
 
  
The above statement about serial console not being "supported" on 712 is
+
<pre>
correct. That doesn't mean you can't do it. Here are the instructions,
 
  
-------------
+
                ---------------------------------
CONFIGURING A RS-232 CONSOLE on a 712
+
                \    o  o  3  o  o  o  7  8  9 /
 +
                  \  10 11 12 13  o  o 16 17 18 /
 +
                  \  19 20 21 22  o 24 25 26  /
 +
                    -------------------------
  
An RS-232 console is not a supported feature in the 712 products. For this
+
              12 13    20 19                18  9  8  7  24
reason users cannot select the RS-232 port as a console from the BOOT_ADMIN
+
      ---------------------------          -----------------
prompt.
+
  AUI  \ o  o  6  5  o  3  2  o /          \ 1  2  3  4  5 / RS232
 +
        \  o 14 13 12  o 10  9 /            \  6  7  8  9 /
 +
          ---------------------                ------------
 +
              22 21  3    11 10                26 25 17 16
  
These instructions will configure an RS-232 console with 9600 Baud, 8 data
 
bits, 1 stop bit, and no parity.
 
  
HOW-TO INSTRUCTIONS
+
              12 13    20 19
 
+
      --------------------------
NOTE: If the following instructions are not entered correctly, your system
+
AUI  \ o  o  6 5 o  3  2  o /
may be left in an un-usable state. Contact support to "dagger" your system
+
        \  o 14 13 12  o 10 9 /
in this case.
+
        ---------------------
 
+
            22 21  3   11 10
    Power up the 712 system.
+
</pre>
    Press (ESCAPE) during boot to abort auto booting the system.
 
    At the BOOT_ADMIN> prompt, use the boot command and the isl keyword.
 
        This will stop the boot sequence at ISL instead of booting to the
 
OS.
 
    For example: 'boot scsi.6 isl'
 
    At the ISL> prompt, type 'conspath 2/0/4.0x283'
 
    At the ISL> prompt, type 'disp' and verify console path is:
 
        (hex) 2/0/4.283.0.0.0.0.0
 
    If necessary re-type the conspath command above.
 
    Connect an RS-232 terminal to the system.
 
    Power cycle the system to bring it up on an RS-232 console.
 
-------------
 
 
 
As it indicates above, *BE VERY CAREFUL* or you can hose your system. If
 
you
 
do, I have one of the "dagger" cards that can be loaned out to fix it.
 
 
 
--
 
Matt Taggart
 
taggart@fc.hp.com
 
 
 
 
 
 
 
 
 
X-From-Line: hppa-linux@thepuffingroup.com  Thu Mar 11 15:54:36 1999
 
Return-Path: <hppa-linux@thepuffingroup.com>
 
Received: from merlin.pcj.primenet.com (pcj@merlin.pcj.primenet.com [192.168.111.10])
 
by merlin.pcj.primenet.com (8.8.7/8.8.7) with ESMTP id PAA14261
 
for <pcj@merlin.pcj.primenet.com>; Thu, 11 Mar 1999 15:54:32 -0800
 
Resent-From: hppa-linux@thepuffingroup.com
 
Received: from pop.primenet.com
 
by merlin.pcj.primenet.com (fetchmail-4.4.7 POP3)
 
for <pcj/merlin.pcj.primenet.com> (single-drop); Thu, 11 Mar 1999 15:54:36 PST
 
Received: from smtp01.primenet.com (daemon@smtp01.primenet.com [206.165.6.131])
 
by primenet.com (8.8.8/8.8.5) with ESMTP id PAA14177
 
for <pcj@smtp-local.primenet.com>; Thu, 11 Mar 1999 15:32:44 -0700 (MST)
 
Received: (from daemon@localhost)
 
by smtp01.primenet.com (8.8.8/8.8.8) id PAA26870
 
for <pcj@primenet.com>; Thu, 11 Mar 1999 15:32:42 -0700 (MST)
 
Received: from SOD.RES.CMU.EDU(128.2.91.30)
 
  via SMTP by smtp01.primenet.com, id smtpd026771; Thu Mar 11 15:32:32 1999
 
Received: (from listserv@localhost)
 
by sod.res.cmu.edu (8.8.7/8.8.7) id RAA06933;
 
Thu, 11 Mar 1999 17:32:02 -0500
 
Resent-Date: Thu, 11 Mar 1999 17:32:02 -0500
 
X-Authentication-Warning: sod.res.cmu.edu: listserv set sender to hppa-linux-request@thepuffingroup.com using -f
 
Delivered-To: thepuffi-hppa-linux@thepuffingroup.com
 
Date: Thu, 11 Mar 1999 16:35:15 -0600
 
From: Jason Eckhardt <jason@equator.com>
 
Message-Id: <199903112235.QAA05851@equator.com>
 
To: hppa-linux@thepuffingroup.com
 
Subject: [hppa-linux] Boot #4 and source on ftp site
 
Resent-Message-ID: <"3RIsy2.0.Ei1.XH4ws"@sod.res.cmu.edu>
 
Reply-To: hppa-linux@thepuffingroup.com
 
X-Mailing-List: <hppa-linux@thepuffingroup.com> archive/latest/171
 
X-Loop: hppa-linux@thepuffingroup.com
 
Precedence: list
 
Resent-Sender: hppa-linux-request@thepuffingroup.com
 
X-UIDL: 9ce996d3976fbc9addd3c92c1b564e49
 
Lines: 25
 
Xref: merlin.pcj.primenet.com palinux:142
 
 
 
 
 
Boot test #4: This is essentially the same code as #3 but I have taken
 
out some delays, modified the cache flush routines, and turned
 
verbose (debug) mode back on. I want to make sure that not having the delays
 
makes no difference and that no characters get dropped when there is a lot
 
of text (as in debug mode). Please let me know if the test still passes
 
as before. Hopefully this will be the last test for a while. Kernel work
 
comes next.
 
  
I have uploaded the binary as well as a new source drop to the ftp site. The
+
== BUILDING NET BOOT KERNEL ==
source is now GPL'd and a detailed README is included which gives an overview
+
* http://www.fr.parisc-linux.org/kernel/nfsroot.html
of the boot code operation. This source supersedes any previous source you
 
may have laying around.
 
  
ftp from sod.res.cmu.edu:/pub/parisc/hilo
+
== Category ==
  boot_rel_0.2.tar.gz        The latest source code and documentation.
 
  bootstrap_4.bin.gz        Bootstrap binary #4.
 
  
Regards,
+
[[Category:HPRISC]]
Jason Eckhardt.
+
[[Category:PARISC]]

Revision as of 17:03, 8 December 2013

For more interesting projects done by Flameman & Legacy, be sure to check out their project index

hp712, HP 9000/712 model

Overview

 _________       ______
|PA-7100LC|_..__|Artist|______________[VGA]
|_________| ||  |______|
  __|__  |  ||
 |Cache| |  ||     _ _ LASI ASIC _ _
 |_____| |  ||            ______
 ______  |  ||    |  ..__|i82596|___|_[10Mb Ethernet]
|Memory|_|  ||       ||  |______|
|______|    ||    |  ||   ______    |
 ___        ||       ||__|53C710|_____[8-bit SCSI-2]
|ROM|_______||    |  ||  |______|   |
|___|       ||_______||   ____
 ________   ||    |  ||__|PS/2|_____|_[Keyboard/Mouse]
|GIO-slot|__||       ||  |____|
|________| GSC    |  ||   ______    |
 _________           ||__|16550A|_____[Serial]
|TSIO-slot|_.     |  ||  |______|   |
|_________| |        ||   ______
            |     |  ||__|37C65C|___|_[Floppy]
            |        ||  |______|
            |     |  ||   ______    |
            |        ||__|16C522|_____[Parallel]
            |     |  ||  |______|   |
            |        ||   _______
            |_____|__||__|Harmony|__|_[Audio]
                     ||  |_______|
                  |  ||   ___       |
                     ||__|RTC|
                  | GSC  |___|      |
                   _ _ _ _ _ _ _ _ _

The design goal of the 712 workstation was to reach performance levels of 1992-era workstations and servers (for instance HP 9000 735 workstation) at a fraction of their fabrication costs. Everything was kept simple, the case is one of the smallest Unix workstation cases, similar to the Sun SPARCstation 10 and 20 cases. 712s are very quiet, the fan of the power supply being almost not audible — the produced noise depends on the installed SCSI drive.

Introduced: early-1994 to mid-1995 (712/100) prices from $4,000 (712/60) and $8,820 (712/80) to $15,100 (712/100).

CPU

  • 712/60: PA-7100LC 60MHz with 1KB on-chip L11 and 64KB off-chip L1 cache
  • 712/80: PA-7100LC 80MHz with 1KB on-chip L11 and 256KB off-chip L1 cache
  • 712/100: PA-7100LC 100MHz with 1KB on-chip L11 and 256KB off-chip L1 cache

1. The 1KB on-chip L1 cache is not really a true cache.

Time of introduction: 1994

The PA-7100LC was primarily designed as a single-chip solution for application in low cost systems while still delivering the performance of 1991 high-end workstations and servers. The CPU core design was leveraged from the PA-7100 and integrated with several of its off-chip support components on a single die. The PA-7100LC integrates the CPU, FPU, MIOC (memory and I/O controller) and a first-level cache on a single VLSI chip and has a direct attachment to the GSC main bus. Both CPU and FPU support the PA-RISC 1.1 Edition 3 ISA. Details

  • PA-RISC version 1.1c 32-bit
  • Three functional units: 2 integer ALUs, 1 Floating Point unit1
  • 2-way superscalar
  • Not SMP-capable
  • Five-stage pipeline
  • DRAM memory & cache controller (MIOC) integrated on die, thus direct interface from the CPU to memory and cache
  • 1KB on-chip I L1 instruction cache, direct mapped, 64-bit per access, prefetch from off-chip I cache
  • 8KB-2MB off-chip unified I/D L1 cache, direct mapped, hashed address, virtual index, 480-600MB/s bandwidth
  • The 1KB on-chip I cache is not really considered a true cache, thus the off-chip cache in fact is the system’s real L1 cache
  • 32-Byte cache line size
  • Support for bi-endian load-store operations
  • MAX-1 multimedia extensions (subword arithmetic) for multimedia applications, e.g., MPEG decoding
  • Floating Point load-store to I/O space
  • 64-entry unified I/D TLB, fully associative, 4K page size
  • 8-entry BTLB, page sizes from 512K - 64M
  • 64-bit wide load/store operations
  • I and D cache bypassing
  • Stall on use D cache miss policy
  • Don’t fill on miss cache hint
  • Hardware TLB miss handler support
  • Hardware static branch prediction
  • GSC bus interface
  • 64-bit ECC interface to the main memory
  • Instruction line prefetch from main memory
  • Up to 100MHz clock
  • 14.2×14.2 mm2 die, 900,000 FETs, 0.75µ (micron), 3-layer aluminium process packaged in a 432-pin PGA

Only one of the two integer ALUs is able to handle loads, stores and shifts, these operations can only be paired with simple math operations, like integer addition or multiplication. Both units can handle branch operations.

Chipset

   * LASI ASIC, which features:
         o NCR 53C710 8-bit single-ended SCSI-2
         o Intel 82596CA 10Mb Ethernet controller
         o WD 16C522 compatible parallel
         o Harmony CD/DAT quality 16-bit stereo audio
         o NS 16550A compatible serial 
   * Artist graphics, 8-bit
   * Intel 82503 Ethernet transceiver, media auto-selection
   * CS4215 or AD1849 programmable CODECs
   * WD37C65C Floppy controller
   * Two AM29F010 Flash EPROMs 
   * GSC system level I/O bus (128MB/s)
   * SCSI-2 single-ended bus 

Memory

   * 72-pin ECC SIMMs
   * Takes 8-32MB modules
   * Either 4 (on /60 and /80 models) or 6 (on /100) sockets
   * 16MB (2×8) minimum, 128MB (4×32)/ 192MB (6×32) maximum
   * Memory has to be installed in pairs, starting from slot 0, which is the closest slot to the drives. 

Expansion

   * VRAM expansion slot for:
         o A2263-66520M - Video RAM expansion for higher resolutions/more colors 
   * One slot for a GIO card (special formfactor GSC bus cards, only used in the 712), with the following cards available:
         o A2878A - second video
         o A4011A - 8025 Token Ring interface
         o A4011B - 8025 Token Ring interface
         o A4013A - second serial port
         o A4014A - second Ethernet LAN (AUI+TP) and serial port. Pinout for the AUI/RS232 Y-cable
         o A4015A - second serial & X25 link (DB9M RS232C connectors)
         o A4217A - second Ethernet LAN (AUI+TP) & second VGA
         o TAMS 50488 - HP-IB interface 
   * One slot for a TSIO card (another special formfactor GSC card for the Teleshare expansion slot), with only one card offered:
         o A4012A - Teleshare POTS interface with two RJ11C jacks 

Drives

  • One tray for a 3.5″ Fast-Narrow SE 50-pin SCSI hard drive
  • One tray for a 3.5″ Floppy drive with special connector

External connectors

  • 50-pin HD SCSI-2 Fast-Narrow single-ended
  • DB9 male RS232C serial (up to 115200 baud)
  • DB25 female parallel
  • TP/RJ45 10Mbit Ethernet1
  • 15-pin AUI 10Mbit Ethernet1
  • HD15 VGA2
  • Two PS/2 connectors for keyboard & mouse
  • Three phone jacks (microphone, headphones and line-in)

1. The system automatically detects the used port. 2. You can connect almost any monitor to the VGA jack. The 712 can drive VESA compatible multisync, HP fixed-frequency and many other popular FF monitors. It also is able to produce sync on green signals.


There is an firmware update available for the 712, which contains the latest version (2.3).

Operating systems

  • HP-UX: every 32-bit release from 10.01-11.11 works.
    • 10.20: runs very nice on all 712s.
    • 11.00 and 11i: 712s are not the best 11.x performers. Could be sluggish. * NeXTSTEP: version 3.3 works fine.
  • gentoo: works fine (text console is pretty, the boot up takes 2 minutes, the X11 support is ... slow)
  • OpenBSD: works fine.
  • NetBSD: experimental support as of 5/2005.


2011-03: about gentoo i am working around "tiny rootfs" which could be newtwork booted from a tftp server. This bootup process takes just few seconds. This idea is from dht-walnut NAS project.

Benchmarks

Model SPEC92, int SPEC92, fp SPEC95, int SPEC95, fp SPEC95 rate, int SPEC95 rate, fp 712/60 67.0 85.3 2.08 2.66 18.7 23.9 712/80 97.1 123.3 3.12 3.55 28.1 32.0 712/100 117.2 144.2 3.76 4.06 33.8 36.3


Physical dimensions/Power

   * 70×423×400 mm height/width/depth
   * 8.36kg net weight
   * 110W max. power input
   * 1.2A max. RMS at 240V
   * 2.7A max. RMS at 120V

Changing to Serial Console

Serial Cable

To connect your PA-RISC machine to your PC so you can boot the system using a console, you need a 9 pin-to-9 pin female null-modem cable.


WARNING

Unfortunately, HP decided that the 712 should be hard to configure for headless use. Improper setting of the console path may cause the system to become inoperable. Beware.


do it

Briefly, you must get to the HP-UX bootloader prompt (which they call the ISL) which will allow you to permanently set your preferred console location to the first serial port at 9600 8N1.

The original source of this information is http://lists.parisc-linux.org/pipermail/parisc-linux/1999-December/008117.html.

To change to a serial console, you need:

  • a PS/2 style keyboard
  • a monitor with VGA style interface
  • the HP-UX ISL (either an HP-UX bootable hard drive or netboot)

First, you need to get to the BootROM prompt. When your system prints the following on screen, press and hold ESC until you get the BOOT_ADMIN> prompt:

Press <ESCAPE> to stop boot sequence.

Setting monitor type

If your display doesn't show properly, the frequency may be out-of-range. You can select the monitor type suitable to your display.

If your 712 has the add-in VRAM board, normal VGA 680x480 mode is monitor type 7. Without the VRAM board, the number may be different (possibly 5?).


BOOT_ADMIN> monitor 7
        Monitor Choices
Type     Resolution     Frequency
----     ----------     ---------
  1      1280x1024        72Hz
  2      1024x768         75Hz
  3      1024x768         70Hz
  4      1024x768         75Hz     Flat Panel
  5      1280x1024        60Hz
  6      1024x768         60Hz
  7       640x480         60Hz
  8      1280x1024        75Hz     VESA
  9      1024x768         75Hz     VESA
 10       800x600         75Hz     VESA
 11       640x480         75Hz     VESA
 12      1280x1024        72Hz     Greyscale
 13      1280x1024        50Hz

Current Monitor Type is
  7       640x480         60Hz

BOOT_ADMIN> reset


If you have HP-UX installed on local disk

BOOT_ADMIN> boot pri isl

Booting

ISL Revision A.00.38  OCT 26, 1994 


ISL>

Boot 712 from LAN

BOOT_ADMIN> boot lan isl

Booting

ISL Revision A.00.25 November 18, 1992


ISL>

Setting console

Run the following command to set your 712 to use a serial RS-232 console with 9600 Baud, 8 data bits, 1 stop bit, and no parity:

         ISL> conspath 2/0/4.0x283

To set your 712 to use the local console (i.e. keyboard and screen), run the following command:

         ISL> conspath 1/0/0.0

You can use the display and information commands to verify that your settings have been stored properly. Once you're sure everything looks right, power cycle your workstation to start using your serial console.

Additionally, you can temporarily use the local console by pressing the “Esc” key on the PS/2 keyboard while resetting. This is setting only affects the console location temporarily and only works with firmware rev 1.6 and later.

Shot

----------------------------------------------------------------------------
BootRom Version    1.6  

Memory Size:  192 MB
----------------------------------------------------------------------------

(c) Copyright 1990-1993, Hewlett-Packard Company.
All rights reserved

Press <ESCAPE> to stop boot sequence.
<ESC>
Selecting a system to boot.
----------------------------------------------------------------------------
Command                             Description
-------                             -----------
Auto [boot|search] [on|off]         Display or set auto flag
Boot [pri|alt|scsi.addr] [isl]      Boot from primary, alternate or SCSI
Boot lan[.lan_addr] [install] [isl] Boot from LAN
Chassis [on|off]                    Enable chassis codes
Diagnostic [on|off]                 Enable/disable diagnostic boot mode
Fastboot [on|off]                   Display or set fast boot flag
Help                                Display the command menu
Information                         Display system information
LanAddress                          Display LAN station addresses
Monitor [type]                      Select monitor type
Path [pri|alt] [lan.id|SCSI.addr]   Change boot path
Pim [hpmc|toc|lpmc]                 Display PIM info
Search [ipl] [scsi|lan [install]]   Display potential boot devices
Secure [on|off]                     Display or set security mode
----------------------------------------------------------------------------

BOOT_ADMIN> boot lan isl

Booting

ISL Revision A.00.25 November 18, 1992 

ISL> display

    Fastsize value is 0000000F

    Autoboot is ON (enabled)

    Autosearch is ON (enabled)

    Primary boot path is 2/0/1.6.0.0.0.0.0
    Primary boot path is (hex) 2/0/1.6.0.0.0.0.0

    Alternate boot path is 2/0/2.0.0.0.0.0.0
    Alternate boot path is (hex) 2/0/2.0.0.0.0.0.0

    System console path is 1.0.0.0.0.0.0
    System console path is (hex) 1.0.0.0.0.0.0

ISL> conspath 2/0/4.0x283

ISL> display

    Fastsize value is 0000000F

    Autoboot is ON (enabled)

    Autosearch is ON (enabled)

    Primary boot path is 2/0/1.6.0.0.0.0.0
    Primary boot path is (hex) 2/0/1.6.0.0.0.0.0

    Alternate boot path is 2/0/2.0.0.0.0.0.0
    Alternate boot path is (hex) 2/0/2.0.0.0.0.0.0

    System console path is 2/0/4.643.0.0.0.0.0
    System console path is (hex) 2/0/4.283.0.0.0.0.0

ISL> 


Y cable pinout

Pinout for RS232/AUI cable(A2263-62045) for 712 card (A2263-66536)


There is a card for the 712(HP part #A2263-66536) that provides 2nd AUI lan and 2nd DB9 RS232 port. The back of the card has a 26 pin connector and the 15pin AUI and 9pin RS232 connectors are provided by a special Y cable (A2263-62045) which are pretty hard to find. Here is the pinout of this cable in case you need to make one.

These diagrams are looking at the end of the connector not the back. Make sure to take that into account if building a cable. Pin numbering is taken from the numbers on the plastic in the cable. If a pin is not connected it is represented as an "o". The numbers outside the bottom connectors are the pin numbers that that pin is connected to on the top connector.


                 ---------------------------------
                 \     o  o  3  o  o  o  7  8  9 /
                  \  10 11 12 13  o  o 16 17 18 /
                   \  19 20 21 22  o 24 25 26  /
                     -------------------------

               12 13    20 19                 18  9  8  7  24
       ---------------------------           -----------------
  AUI   \ o  o  6  5  o  3  2  o /           \ 1  2  3  4  5 / RS232
         \  o 14 13 12  o 10  9 /             \  6  7  8  9 /
          ---------------------                ------------
              22 21  3    11 10                 26 25 17 16


              12 13    20 19
       --------------------------
 AUI   \ o  o  6  5  o  3  2  o /
        \  o 14 13 12  o 10  9 /
         ---------------------
             22 21  3    11 10

BUILDING NET BOOT KERNEL

* http://www.fr.parisc-linux.org/kernel/nfsroot.html

Category